INCISIVE-15.2安装在NFS上会报错,但是本地磁盘上不会报错

提问者:青岛笨笨 问题分类:EDA问题
环境变量
export CDS_LIC_FILE=5284@172.11.0.91
export INCISIVDIR=/data/eda/cadence/INCISIV152111
export VRST_HOME=$INCISIVDIR
export LD_LIBRARY_PATH=$INCISIVDIR/tools.lnx86/lib:$LD_LIBRARY_PATH
export SPECMAN_HOME=$INCISIVDIR/components/sn
export SPECMAN_DIR=$SPECMAN_HOME/
export PATH=$INCISIVDIR/bin:$INCISIVDIR/tools.lnx86/bin:$INCISIVDIR/tools.lnx86/dfII/bin:$PATH

执行
simvision

报错信息如下
simvision: 15.20-p001: (c) Copyright 1995-2016 Cadence Design Systems, Inc.
Application initialization failed: Can't find a usable init.tcl in the following directories:
   /vobs/dvgui/src/tcltk/instdir.build/lib/tcl8.4 /data/eda/cadence/INCISIV152111/tools.lnx86/simvision/lib/tcl8.4 /data/eda/cadence/INCISIV152111/tools.lnx86/lib/tcl8.4 /data/eda/cadence/INCISIV152111/tools.lnx86/simvision/library /data/eda/cadence/INCISIV152111/tools
.lnx86/library /data/eda/cadence/INCISIV152111/tools.lnx86/tcl8.4.19/library /data/eda/cadence/INCISIV152111/tcl8.4.19/library /vobs/dvgui/src/tcltk/instdir.build/lib/tcl8.4

如果将此文件夹复制到本地/opt目录下,则不会报错
1 个回答
满意答案
团子精英
团子精英 管理员
有3个方法可以处理这个问题
1、将simvision的位置定义到 bin/64bit 下
2、simvision -64BIT
3、setenv CDS_AUTO_64BIT ALL
发布于:11个月前 (06-30) IP属地:北京市
青岛笨笨
青岛笨笨提问者
落魄码农,不过尔尔
问题已经解决,但是不明白,为啥要加这个参数,挂载盘和本地盘这个软件是如何识别的呢
发布于:11个月前 (06-30) IP属地:辽宁省
团子精英
团子精英管理员
@青岛笨笨 此问题在于32位软件inode的问题。
现在的存储容量都很大,使用inode64,32位的软件无法查找到索引数过大的文件。
发布于:11个月前 (07-01) IP属地:陕西省
我来回答